Exportar Publicação

A publicação pode ser exportada nos seguintes formatos: referência da APA (American Psychological Association), referência do IEEE (Institute of Electrical and Electronics Engineers), BibTeX e RIS.

Exportar Referência (APA)
Villon, L. A. Q., Susskind, Z., Bacellar, A. T. L., Miranda, I. D. S., Araújo, L. S. de., Lima, P. M. V....Dutra, D. L. C. (2022). A WiSARD-based conditional branch predictor. In ESANN 2022 proceedings. (pp. 25-30). Bruges (online): ESANN.
Exportar Referência (IEEE)
L. A. Villon et al.,  "A WiSARD-based conditional branch predictor", in ESANN 2022 proceedings, Bruges (online), ESANN, 2022, pp. 25-30
Exportar BibTeX
@inproceedings{villon2022_1715504765451,
	author = "Villon, L. A. Q. and Susskind, Z. and Bacellar, A. T. L. and Miranda, I. D. S. and Araújo, L. S. de. and Lima, P. M. V. and Breternitz Jr, M. and John, L. K. and França, F. M. G. and Dutra, D. L. C.",
	title = "A WiSARD-based conditional branch predictor",
	booktitle = "ESANN 2022 proceedings",
	year = "2022",
	editor = "",
	volume = "",
	number = "",
	series = "",
	doi = "10.14428/esann/2022.ES2022-65",
	pages = "25-30",
	publisher = "ESANN",
	address = "Bruges (online)",
	organization = "",
	url = "https://www.esann.org/proceedings/2022"
}
Exportar RIS
TY  - CPAPER
TI  - A WiSARD-based conditional branch predictor
T2  - ESANN 2022 proceedings
AU  - Villon, L. A. Q.
AU  - Susskind, Z.
AU  - Bacellar, A. T. L.
AU  - Miranda, I. D. S.
AU  - Araújo, L. S. de.
AU  - Lima, P. M. V.
AU  - Breternitz Jr, M.
AU  - John, L. K.
AU  - França, F. M. G.
AU  - Dutra, D. L. C.
PY  - 2022
SP  - 25-30
DO  - 10.14428/esann/2022.ES2022-65
CY  - Bruges (online)
UR  - https://www.esann.org/proceedings/2022
AB  - Conditional branch prediction is a technique used to speculatively execute instructions before knowing the direction of conditional branch statements. Perceptron-based predictors have been extensively studied, however, they need large input sizes for the data to be linearly separable. To learn nonlinear functions from the inputs, we propose a conditional branch predictor based on the WiSARD model and compare it with two state-of-the-art predictors, the TAGE-SC-L and the Multiperspective Perceptron. We show that the WiSARD-based predictor with a smaller input size outperforms the perceptron-based predictor by about 0.09% and achieves similar accuracy to that of TAGE-SC-L.

ER  -