Artigo em revista científica Q1
A conditional branch predictor based on weightless neural networks
Luis A. Q. Villon (Villon, L. A. Q.); Zachary Susskind (Susskind, Z.); Alan T. L. Bacellar (Bacellar, A. T. L.); Igor D. S. Miranda (Miranda, I. D. S.); Leandro Santiago de Araújo (Araújo, L. S. de.); Priscila Lima (Lima, P. M. V.); Maurício Breternitz (Breternitz Jr., M.); Lizy K. John (John, L. K.); Felipe França (França, F. M. G.); Diego Leonel Cadette Dutra (Dutra, D. L. C.); et al.
Título Revista
Neurocomputing
Ano (publicação definitiva)
2023
Língua
Inglês
País
Estados Unidos da América
Mais Informação
Web of Science®

N.º de citações: 1

(Última verificação: 2024-04-26 15:30)

Ver o registo na Web of Science®


: 0.2
Scopus

N.º de citações: 1

(Última verificação: 2024-04-23 18:02)

Ver o registo na Scopus


: 0.1
Google Scholar

N.º de citações: 1

(Última verificação: 2024-04-27 08:50)

Ver o registo no Google Scholar

Abstract/Resumo
Conditional branch prediction allows the speculative fetching and execution of instructions before knowing the direction of conditional statements. As in other areas, machine learning techniques are a promising approach to building branch predictors, e.g., the Perceptron predictor. However, those traditional solutions demand large input sizes, which impose a considerable area overhead. We propose a conditional branch predictor based on the WiSARD (Wilkie, Stoneham, and Aleksander’s Recognition Device) weightless neural network model. The WiSARD-based predictor implements one-shot online training designed to address branch prediction as a binary classification problem. We compare the WiSARD-based predictor with two state-of-the-art predictors: TAGESC- L (TAgged GEometric-Statistical Corrector-Loop) and the Multiperspective Perceptron. Our experimental evaluation shows that our proposed predictor, with a smaller input size, outperforms the perceptron-based predictor by about 0.09% and achieves similar accuracy to that of TAGE-SC-L. In addition, we perform an experimental sensitivity analysis to find the best predictor for each dataset, and based on these results, we designed new specialized predictors using a particular parameter composition for each dataset. The results show that the specialized WiSARD-based predictor outperforms the state-of-the-art by more than 2.3% in the best case. Furthermore, through the implementation of specialized predictor classifiers, we discovered that utilizing 90% of the specialized predictor for a specific dataset yielded comparable performance to the corresponding specialized predictor.
Agradecimentos/Acknowledgements
CAPES, Brazil and CNPq, Brazil f, FCT
Palavras-chave
Weightless neural network,WiSARD,Branch prediction,Binary classification
  • Ciências da Computação e da Informação - Ciências Naturais
  • Medicina Básica - Ciências Médicas
Registos de financiamentos
Referência de financiamento Entidade Financiadora
POCI-01-0247-FEDER-045912 Fundação para a Ciência e a Tecnologia
UIDB/04466/2020 Fundação para a Ciência e a Tecnologia
UID-BASE/50008/2020 Fundação para a Ciência e a Tecnologia
UIDP/04466/2020 Fundação para a Ciência e a Tecnologia
Projetos Relacionados

Esta publicação é um output do(s) seguinte(s) projeto(s):

Com o objetivo de aumentar a investigação direcionada para o cumprimento dos Objetivos do Desenvolvimento Sustentável para 2030 das Nações Unidas, é disponibilizada no Ciência-IUL a possibilidade de associação, quando aplicável, dos artigos científicos aos Objetivos do Desenvolvimento Sustentável. Estes são os Objetivos do Desenvolvimento Sustentável identificados pelo(s) autor(es) para esta publicação. Para uma informação detalhada dos Objetivos do Desenvolvimento Sustentável, clique aqui.